summaryrefslogtreecommitdiff
path: root/Changes
AgeCommit message (Expand)Author
2010-12-30*** empty log message ***rel-4_02root
2010-12-05*** empty log message ***root
2010-11-10*** empty log message ***root
2010-11-054.01rel-4_01root
2010-11-04*** empty log message ***root
2010-11-03*** empty log message ***root
2010-10-31*** empty log message ***root
2010-10-28EV_UNDEFroot
2010-10-25*** empty log message ***root
2010-10-25*** empty log message ***root
2010-10-25*** empty log message ***root
2010-10-25*** empty log message ***root
2010-10-24*** empty log message ***root
2010-10-24*** empty log message ***root
2010-10-22*** empty log message ***root
2010-10-22*** empty log message ***root
2010-10-22*** empty log message ***root
2010-10-22*** empty log message ***root
2010-10-22compat3 for ev++.h, default args c++root
2010-10-21Fix typos.sf-exg
2010-10-21*** empty log message ***root
2010-10-21*** empty log message ***root
2010-10-21enumroot
2010-10-21*** empty log message ***root
2010-10-21*** empty log message ***root
2010-10-16*** empty log message ***root
2010-10-14*** empty log message ***root
2010-10-14*** empty log message ***root
2010-10-14portability sectionroot
2010-07-09*** empty log message ***root
2010-06-24*** empty log message ***root
2010-05-10*** empty log message ***root
2010-04-21*** empty log message ***root
2010-04-02*** empty log message ***root
2010-03-29*** empty log message ***root
2010-03-27*** empty log message ***root
2010-03-27*** empty log message ***root
2010-03-22*** empty log message ***root
2010-03-22Fix typos spotted by μspell.sf-exg
2010-03-16*** empty log message ***root
2010-03-16*** empty log message ***root
2010-03-16*** empty log message ***root
2010-03-16*** empty log message ***root
2010-03-16*** empty log message ***root
2010-03-16rough EV_FEATURES implementationroot
2010-03-14*** empty log message ***root
2010-03-10more minimal tuning, add truly minimal exampleroot
2010-03-09ev_avoid_stdioroot
2010-02-16maemo hits, but it's a dead platform anywaysroot
2010-02-14forgot to ev_stop in ev_embed_stoproot